ASML heeft eerste high-NA-lithografiesysteem aan Intel geleverd

De Nederlandse chipmachinebouwer ASML heeft het eerste exemplaar van zijn high-NA-extreme ultraviolet-lithografiesystemen geleverd aan chipfabrikant Intel. De high-NA-euv-machines moeten het mogelijk maken om kleinere, snellere halfgeleiders te produceren.

De high-NA-euv-systemen kosten elk meer dan 300 miljoen dollar en worden verscheept in 250 afzonderlijke kratten. Naar verwachting worden de machines vanaf 2026 of 2027 gebruikt in de commerciële chipproductie. Rond 2027 of 2028 wil ASML jaarlijks twintig high-NA-systemen leveren.

ASML deelde een foto van de eerste levering op het sociale medium X. Intel is de eerste, maar niet de enige die een bestelling plaatste voor de high-NA-systemen van het Veldhovense ASML. Onder meer TSMC, Samsung, SK hynix en Micron hebben de nieuwe euv-machines besteld, meldt Reuters.

De high-NA-systemen zijn de volgende generatie van ASML's euv-machines, met een hogere numerieke apertuur om de chipdichtheid te verhogen. Uiteindelijk moet hyper-NA volgen, een systeem met een nog hogere numerieke apertuur om nog kleinere chips te produceren. Tweakers sprak eerder dit jaar met cto Martin van den Brink over de toekomstdoelen van ASML.

ASML-campus Veldhoven

Door Sabine Schults

Redacteur

21-12-2023 • 20:25

85

Lees meer

Reacties (85)

85
83
17
0
0
54
Wijzig sortering
Blijf het toch wel heel tof vinden dat dit uit ons kikkerlandje komt. Zag laatst een video over hoe die techniek in elkaar zit en dan krijg je wel ontzag voor de kennis en kunde die daar rond loopt.

Is het niet een kwestie van tijd voor ze worden ingehaald door een giga economie? #durftevrezen
Uiteindelijk zal China wel in staat zijn om machines te maken die tot hetzelfde in staat zijn. Vermoedelijk met het schenden van een flink aantal patenten, maar daar doen ze in China niet zo moeilijk over.
Tegen die tijd zal ASML al minimaal machines van een generatie verder kunnen leveren en al aan weer de volgende generatie bezig zijn.
ASML beheerst technieken van de allerhoogste plank. Dat is niet zo gemakkelijk in te lopen.
Ik zat eigenlijk te wachten op een reactie die zou stellen dat China dat wel even namaakt of zelfs verder is. Dat lees je ook wel eens. Maar u heeft gelijk dat het uiteindelijk wel zal lukken. En tegen die tijd is ASML natuurlijk al twee stappen verder.
SMEE heeft net de eerste 28nm node machine aangekondigd, dan zit loop je nog wel wat meer stappen achter (14,10,7,5,3)
Ja ik moet altijd lachen als ze op ander sites beweren dat ze op 7 zitten en zelfs al verder zijn. Misschien worden die mensen in gehuurd of is het wensdenken.
Als ze het zelf konden bestelde ze die niet, en was er ook geen boycot nodig.
Er wordt weldegelijk op 7nm geproduceerd in China (die Kirin chip), maar dat wordt gedaan met ASML machines.
Maar die machines die ze daar voor gebruiken, zijn niet bedoeld voor 7nm en toch doen ze dat... Vraag me af of mensen die bij ASML werken hier wat meer over kunnen vertellen waarom en hoe, en of ze ook grote yields hebben bij SMIC. Of dat het allemaal een politiek spel is die Kirin processors op 7nm en dat ze helemaal niet kunnen leveren!! Komop vertel eens werknemers bij ASML. :) Is SMIC echt zo high end bezig of valt het allemaal mee ?
7nm op DUV machines is niet uniek. tsmc doet/deed dat ook en gebruikt pas sinds de "7 plus" node EUV.
Volgens deze site: https://www.tomshardware....dly-copied-from-tsmc-tech
lijkt het proces van SMIC ook erg op dat van tsmc. Je kan met double/quadruple patterning best veel bereiken. Daarnaast zijn er nog andere proces technieken buiten de lithografie om waarmee je de lijnen kleiner kan maken (ALD bijvoorbeeld).
Knap is het wel, er zijn niet voor niks zo weinig spelers die deze nodes kunnen maken. Hoe het met de yields zit weet ik niet. Maar als je tijd en geld genoeg hebt dan maakt dat niet zoveel uit. Iets wat in China zo lijkt te zijn. Ze kunnen bijvoorbeeld een goede Yield halen door erg strakke on product control te doen. Dit vergt veel metrology maar kan net die extra on product performance geven die nodig is om Yield te krijgen. Hierdoor neemt de doorloop tijd toe en stijgen dus de kosten.
Da's niet zo complex.
Als je weet dat de golflengte van je gebruikte licht een mogelijk schaduw effect zal hebben op je dimensies. dan verdeel je de belichtingstijd opver vier hoeken. Dan doen ze hij het ionen implanteren ook.
Gewoon elke wafer eenmaal kort bestralen, dan van de chuck nemen, opnieuw orienteren en 90 grd roteren, om ze dan opnieuw te belichten of vol te schieten.
Effect kan je zelf zien door een kubus op de tafel te zetten en dan de zaklamp onder ca 30 grad. aan een van de zijkanten te houden. het schaduw effect wat dan optreed zit aan de tegenovergestelde zijde en voor de dimensionering, kan je spelen met de intredende hoek.
Nadeel is alleen dat de througput flink omlaag gaat en je loopt het risico of afwijkingen en of justeerfouten.
AL is is dattegenwoordig echt enorm verbeterd.
Destijd (1990) verdeelden we de implantatie doseringen bij NXP Nijmegen al op deze manier.
Die apparatuur is nauwkeurig genoeg. Om maar even te zwijgen over de enorm nauwkeurige manier van wafer mapping die ASML doet op Alle ! wafers, nog voordat deze belicht worden

[Reactie gewijzigd door Omnicron1 op 22 juli 2024 16:07]

complex verhaal om uit te leggen dat je dubbele belichting gebruikt voor dezelfde laag op de wafer.
Bij de eerste belichting gebruik je de helft van het gewenste lijnen en bij de tweede belicht je de lijnen daartussenin.
De rotatie die je vermeldt heeft met andere optische effecten te maken.
overigens: Er wordt tegenwoordig zelfs met 4 voudige belichting per laag gewerkt.
nadeel wat je al zegt is inderdaad dat je waferproductie 2x of 4x zo lang duurt.
Dat is prijzig.
Dat werd niet bedoeld, het ging om eigen machines.
Dat was natuurlijk misinformatie geproduceerd door SMIC & de CCP met het oog op toch maar even proberen of ze ASML (of liever gezegd het congres en de regering van VS) niet nog even konden ompraten om maar van de CHIPS-act sancties af te zien. Dat deden ze ook nog een keer door ook te liegen over de chips in hun nieuwe "Huawei flagship" die bij nader onderzoek helemaal geen originele SMIC chips waren zoals ze claimen maar gewoon hun oude voorraad Kirin 9000 chips die ze nog hadden geleverd gekregen van TSMC van vóór de sancties in werking traden.

Voor zover we weten is daar niets van waar al heeft de Chinese regering wel middels allerlei diefstallen bij andere chipbedrijven (alsook geprobeerd bij ASML) iets van kleinere node-productie methoden gestolen (14nm) en "gerepliceerd", maar wederom zover we weten hebben ze daar nog weinig aan en kunnen ze daarmee alleen "logic" lithografie maken zonder andere cruciale gates (?) zoals memory wat het feitelijk alleen nuttig maakt voor bijvoorbeeld ASICs maar niet voor "reguliere" chips (zoals CPU/SOC smartphone e.d.) en ze alsnog allerlei productie-methoden moeten ontwikkelen (of stelen).

Please correct me if I'm wrong want ik heb het ~de afgelopen maand wat minder gevolgd dan voorheen. Maar aangezien dat hele verhaal snel een stille dood stierf kunnen we er van uit gaan dat als ze daadwerkelijk dat konden produceren op schaal dat ze het al trots van de daken zaten te schreeuwen. Trouwens zegt een voormalig topman van TSMC dat ze 5nm zouden kunnen maken maar wel met allerlei kanttekeningen en caveats waaronder vreselijke yields.

Lijkt me dat eerlijke spelers als ASML, TSMC en de Japanse bedrijven altijd of zeker nog een hele tijd het voordeel zullen hebben boven de Chinese staatsbedrijven SMIC e.d. die gewoon zoveel mogelijk proberen te jatten van derden, daar is alles wat ASML e.d. doen simpelweg te complex voor - al zal de Chinese regering alleen maar meer proberen te jatten na de CHIPS-act en moeten ASML gewoon blijven innoveren tegen concurrenten (eerlijke of niet eerlijke).
ASML zou toch precies moeten weten wat SMIC met hun machines doet? Dan weten ze ook donders goed of er echt wel Kirin processors geproduceerd worden. Alleen is het beetje radiostilte. We willen info!
Waarom zou ASML dat moeten weten? Dat is gewoon bedrijfsgeheim van SMIC. En daarnaast is ASML's machine maar 1 stap in het proces daar komt geen hele chip uit. Dus al wist ASML wat er met hun machine gedaan wordt dan nog moet je weten wat er daarnaast nog meer gebeurd.
China heeft al eens een machine voor een deel gedemonteerd, maar moest ASML inschakelen om de machine weer werkend te krijgen.
Het namaken van een ASML machine is zelfs voor Chinezen onbegonnen werk. Er moeten binnen China genoeg knappe koppen rondlopen die gezamenlijk in staat moeten zijn om een gelijksoortige machine te ontwikkelen. Die moet je echter wel lange tijd bij elkaar zien te krijgen. Dan zijn er nog de benodigde faciliteiten en budgetten. In theorie allemaal mogelijk, maar ik zie dat in de praktijk niet snel gebeuren.
De knappe koppen bij ASML komen van over de hele wereld, dus de pool waar China uit vist is iets kleiner. Ik vraag me ook af of bedrijfscultuur een rol speelt waardoor ASML zo ver voorop loopt, die kopieer je niet zomaar.
ASML werkt ook veel samen met Universiteiten. Daardoor heeft ASML een enorm onderzoekspotentieel. Dat kopieer je inderdaad niet zomaar, maar in theorie moet men in China gelijksoortige machines kunnen ontwikkelen en bouwen. Het zou me ook niet verbazen als ze daar al mee bezig zijn.
ASML heeft een voorsprong, maar moet wel verder gaan met de ontwikkeling van nog betere machines, anders raken ze die voorsprong op een kwade dag zeker kwijt.
Niet zo makkelijk in te lopen, eens. Maar geen enkel bedrijf blijft een eeuwige voorsprong houden, ook ASML niet. En dan heb ik het niet perse over China, maar er zijn ook andere bedrijven uit andere landen die dit stokje kan overnemen.
Nou, dat kon wel eens een heel zware dobber worden !
De fabrikage van deze manchines behoefd zo veel verschillende disciplines en daarbij is zo enorm veel High-tech expertise nodig die gelukkig over heel veel leveranciers verdeeld is.

Daar is men toch nog wel even mee zoet.
Ik heb aan den lijve meegemaakt dat bij werkzaamheden china, maar ook Korea tijdens onze werkzaamheden
enorm geintresseerd waren in onze black en Decker Workmate. en geloof me binnen ene weekeind, kwamen de tot in detail nagemaakte copieen, dus ook met alle tekortkomingen op het bouw terrein.
Dat gaat met dit soort machines niet zo gemakkelijk... Laat staan de product specs, software en optiek nog even buiten beschouwing. Ja, vroeg of laat zullen ze dat vast wel voor elkaar krijgen.... Alles is te kraken..
Maar voorlopig staan ze buiten spel, en de ontwikkeling gaat door. Deze zal alleen maar meer en meer complex worden. Neem bijv even mee dat er bijv een online connectie moet zijn met een rekencentrum voor bepaalde belichtings algorithmen. anders werkt je machine niet. Waar staan die dingen, adressen versleutilingen.. Nee dat zie ik niet z snel gebeuren.ASML heeft daar heeeeel goed over nagedacht
Hoe kan SMIC dan 7nm met goede yield doen op lower end machines? Vraag me af of mensen bij ASML daar ook echt verbaasd over zijn?
TSMC (N7) deed 7nm ook zonder EUV, dat was pas bij 7nm FinFET plus (N7+) dat er EUV gebruikt werd. SMIC gebruikt waarschijnlijk high-end ASML DUV machines, net als TSMC.
Mocht je SMIC 5nm bedoelen: daar stond niet vast hoe goed de yield was, het is waarschijnlijk dat die helemaal niet zo goed is zoals soms vermeld werd. Mochten ze daadwerkelijk een hoge yield hebben heeft SMIC iets onder de knie gekregen met heel veel waarde.

Volgens mij waren er al geruchten dat EUV relatief snel multi-patterning trucs uit moet halen om nog kleiner te gaan. Hierdoor zou er vraag ontstaan naar deze nieuwe high-na machines die nog preciezer zijn dan de huidige EUV machines. Naast een knap staaltje techniek is het ook nog super snel ontwikkeld en bij de klant geleverd.
Ach jongens da's toch allemaal statistiek. Het beter natte vingerwerk.
Zeggen is een, doen is iets geheel anders. En zeg niet dat dat hier niet gebeurd. Destijds tot NXP de eerste werkende die uit Mos4-you haalde, wat meer dan een jaar duurde. vertelde men ook niet dat men eerst tig wafers had gebruikt, voordat er een werkende die op de wafer zat.
Totdat de operator die in de lift stond mocht ervaren dat de boden van de Smiff pod en onderuit viel.
EN hup weer terug naar boven.. Zelfde type, partij nummer zoveel. flink druk op de ketel en hoppetaah !
Management. Pffft. wat weten die nou. Niks, om over de politiek maar te zwijgen.
Da's toch een heel ander vakgebied. Ider het zijnde, maar de statistiek en de nieuws vergaring doen de rest.
allemaal met een flinke korrel zout nemen
In het westen noemen we het patenten schenden in China vinden ze ons dom dat we onze eigen handen op onze rug binden. Het is jammer dat China het land is dat dit zo pijnlijk uitbuit en dat we onszelf zo in de weg zitten.
En hoeveel miljarden denk je dat ASML in de ontwikkeling zou hebben gestoken wanneer die niet door patenten zouden worden beschermd?
Je denkt toch niet echt dat ASML alles gepatenteerd heeft? Echte bedrijfsgeheimen patenteer je niet, die houd je binnenskamers. Neem als voorbeeld het Coca Cola recept.
Het ligt er aan of het wel of niet zichtbaar is wanneer je de machine uit elkaar haalt en of het met enige moeite na te maken is.
Wanneer het gaat om een ingewikkeld proces om iets te maken, wat niet uit het product zelf af te leidien is, kan je het inderdaad beter geheim houden.
Whahaa ! dat hebben ze al eens een keer eerder gepropeerd. Nu staat ie gesloopt ergens in een of andere Top-secreet hal weg te rotten.
Ze hebben het ding nooit meer aan de praat gekregen
Er is maar een manier waarop voortgang geboekt kan worden en dat is die van het huidige systeem
Dat geeft ASML zelf al een gezegd, dat eerst wel tijd zal kosten, maar dat China op den duur nagenoeg op hetzelfde niveau zal zitten, of verder.
Gezien het potentieel wat in China rond moet lopen kan dat ook niet anders. Het is echter de vraag of ASML voor die tijd de absolute fysische grenzen al weet te bereiken. Op dat moment kan ook China niet verder meer in de ontwikkeling.
ik betwijfel dat.
ASML heeft unieke leveranciers.
Bijvoorbeeld CarlZeis is de enige die de ultraplatte spiegels kan fabriceren. Ook dat zal China moeten ontwikkelen. ASML heeft knappe koppen uit heel de wereld. Heeft China de potentie zelf in huis?
Tenzij China ook wereldwijd personeel werft.
China heeft een enorm potentieel aan mensen. Bedenk dat een kwart van de wereldbevolking Chinees is. Je mag aannemen dat eenzelfde percentage van de Chinese bevolking onder de noemer "knappe koppen" gerekend kan worden. De uitvindersmentaliteit van de Chinezen staat al millennia bekend. Een chip-machine ontwikkelen is heus niet alleen voorbehouden aan het westen.
Lenzen en spiegels kunnen ze in China al maken. Zelfs met hoge precisie. De ultra platte lenzen maken is een kwestie van tijd. Zo zal dat met de meeste onderdelen gaan.
De Chinezen zijn behoorlijk actief als het gaat om bedrijfsspionage, dus ze hoeven niet alles zelf uit te vinden.
China heeft zover ik weet op technisch gebied niks ontwikkeld. Maar een verbetering. Smartphones kunnen ze als de beste maken.

Het argument China is groot en dus moet het lukken vind ik ook een fundament van een moeras.

Ik typ. ASML heeft diverse unieke leveranciers. CarlZeist is maar 1 voorbeeld. De enige die dat kan.

Bedrijfs spionage is 1 ding. Het werkelijk kopiëren is een 2e. Ook hier zeg ik. Dan moet je ook de unieke leveranciers spioneren.

Het is een ontzettend complex apparaat waar in feite de hele wereld aan meehelpt.

Ik zie China dat in zijn eentje niet nadoen

[Reactie gewijzigd door lighting_ op 22 juli 2024 16:07]

In China is men men de laatste jaren steeds sterker geworden in fysica. Ze hebben ook een raket ontwikkeld die een karretje op de maan kon plaatsen. Met kernenergie is men verder dan het "westen". Men bouwt daar al aan centrales die een generatie verder zijn dan in het westen.
Lenzen slijpen kan men in China heel goed en ook met grote precisie. Misschien (nog) niet op het niveau van CarlZeiss, maar dat kunnen ze ongetwijfeld wel bereiken. Voor andere onderdelen geld hetzelfde.

Een machine van ASML nabouwen zal in China niet het doel zijn. Met een gedeeltelijke demontage/montage zijn ze al gestrand. Een nieuwe machine van de grond af opnieuw ontwikkelen, daar zie ik de Chinezen nog wel toe in staat. Daarbij hoeven ze veel minder te ontwikkelen dan ASML destijds. Grote delen van de benodigde theorie zijn inmiddels al in wetenschappelijke bladen gepubliceerd. Omzetten in een (complexe) machine is natuurlijk wat anders. In China is men niet zo heel goed om een grote groep top technici onder leiding van wetenschappers te laten werken en de gangbare manier van samenwerken/aansturen is niet ideaal voor zo'n project.
Je moet er echter wel van uitgaan dat men de kennis en mensen binnen China wel heeft, of gewoon voor veel geld naar China kan halen. Gezien de complexiteit zal het wel vijf tot tien jaar duren voordat China het niveau bereikt waar ASML nu al is. Tegen die tijd is ASML al één of twee generaties verder.

Ik zie China ook niet direct als een bedreiging voor ASML, maar onderschat de Chinezen niet. Ze zijn de stap van kopiëren en (iets) verbeteren voorbij en zijn zeker zelf met R&D begonnen. Op sommige vlakken is die R&D ook succesvol. Neem bijvoorbeeld de Sodium batterij, die zelfs al in productie is.
Niemand onderschat de chinezen maar jij onderschat de complexiteit van euv machines. Je vergeet echt de hele keten. Ik herhaal het nogmaals. De hele wereld heeft meegeholpen met ontwikkeling van EUV. Dat zie ik 1 land in zijn eentje niet nadoen. Vooral niet als je alle (cruciale) onderdelen zelf moet ontwikkelen/fabriceren. In theorie ja in praktijk zeer kleine kans.

[Reactie gewijzigd door lighting_ op 22 juli 2024 16:07]

Ik onderschat de complexiteit van een EUV machine zeker niet. Ik acht de kans dat men in China een gelijksoortige machine kan ontwikkelen niet heel groot in, maar de mogelijkheid is er wel en ASML moet daar niet blind voor zijn.
niemand onderschat de chinezen. Maar het is nog steeds een land die eerst spioneert/kopieert en daarna verbetert.

https://medium.com/@thech...assive-scale-da796ea1af73
An EUV lithography machine has three key components with over 100,000 high-precision parts:

Light Source — EUV light is generated by firing a laser at tin droplets moving at 200 mph. The laser and droplet technology is monopolized by German firm TRUMPF and American company Cymer.

Optics — The EUV optics made exclusively by German company Zeiss are said to be among the smoothest objects ever made, approaching the theoretical limit. Surface roughness is just 0.2 mm if expanded to Earth size. The optics must operate in a vacuum without any interference.

Etch table — This controls etching patterns into the silicon with nanometer precision, using 55,000 parts reliant on IP from Japan, Korea, China Taiwan, the US, Germany, and the Netherlands.

For China to break technical barriers and independently produce advanced lithography, it would need to achieve complete self-reliance across all three key components.

However, EUV systems also face many technical challenges, such as generating enough power for the light source, keeping the optics in high vacuum conditions, and producing flawless masks and photoresists. EUV is hitting fundamental limits, with source power capped around 500 W. This strains patterning for the 3 nm node, raising costs.

China gooit het daarom over een ander boeg met SSMB-EUV technology.
https://www.globalneighbo...ough-too-good-to-be-true/

I believe that for a super-precision complex machine like the lithography machine, seeking extensive international collaboration is the best approach.

[Reactie gewijzigd door lighting_ op 22 juli 2024 16:07]

China heeft wel de naam nog steeds aan kopiëren en verbeteren te doen, maar de laatste jaren doet men steeds meer zelf aan ontwikkeling. De maanlander is bijvoorbeeld een 100% Chinese ontwikkeling geweest. In batterij technologie zijn ze ook druk bezig. Niet alleen theoretisch, maar tot aan de productie toe.
Met zeer hoge precisie lenzen slijpen doet men al enkele jaren.
Daar ben ik persoonlijk niet zo bang voor. China kan pas sinds 2017 zelf de balpen produceren. Daarvoor moesten ze de balletjes altijd importeren. China is goed in kopiëren, research and development daarentegen weer niet.
China is redelijk sterk in Fysica. Ze hebben ook een raket naar de maan kunnen sturen en met kerncentrales lopen ze voor op het westen. Ik ben niet bang dat China binnen 5 tot 7 jaar ASML in kan halen of tot het niveau te komen waar ASML nu is, maar de potentie moet China wel hebben. Theorie en praktijk zijn echter lang niet altijd aan elkaar gelijk.
Naast de kennis is de logistiek een andere grote uitdaging. ASML heeft >2000 leveranciers voor onderdelen. Om dat als nieuwe partij op te zetten is een gigantische uitdaging. Zeker als je beseft dat sommige leveranciers als enige een bepaald onderdeel kunnen leveren en de levering daarvan zwaar gereguleerd is (door met name de VS).
En hier sla je de spijker op zijn kop.
ASML’s grootste kracht is supplychain, dat doen ze zo verschrikkelijk goed, dat je met al het geld en kennis er nog steeds niet bent.

Hun “best of breed” strategie heeft op de lange termijn de vruchten afgeworpen.

Als je dit interessant vindt klinken lees dan het (luister)boek “chip wars”.
Heb ik mij eigenlijk niet gerealiseerd, maar dit is natuurlijk wel erg logisch... #thanks.
Zolang we aantrekkelijk blijven om het beste talent vanuit de hele wereld hier naar toe te halen kun je voorop blijven lopen. Maar ik geloof dat dat na 22 november jl. wel een probleem gaat worden.
Het zijn extreme lithografie machines.
Zou er niet sprake zijn van enige coulance?
Tja de soep wordt natuurlijk altijd minder heet gegeten dan hij wordt opgediend. Maar het vestigingsklimaat (voor bedrijven en personen) wordt er met de 'anti buitenlander' wind niet beter op. Problemen met woningschaarste zijn in bepaalde regio's wel te wijten aan druk op de markt door ex-pats. Overigens is ASML zelf ook heel druk bezig om woningen te ontwikkelen in de regio Eindhoven.

Maar goed als de ontwikkeling zich doorzet wordt Brainport Eindhoven straks onze grootste economische motor. Die streeft dan Rotterdam en Amsterdam voorbij. Om onze innovatieve voorsprong te behouden moeten we blijven investeren in onderwijs, innovatie en vestigingsklimaat.

Woningnood moet worden opgelost door nu eens echt in te zetten op vermindering van regeldruk (hoe kan het dat het 7 jaar moet duren voordat we een woning kunnen bouwen) en het verlaten van ons heilig vertrouwen dat 'de markt' gaat voorzien in alle woonvraag. Dus weer een echt ministerie van VROM optuigen en terug naar de oude (taken van) de woningcorporaties voor de sociale woningbouw.
Hoe bedoel je welke coulance ?
China heeft dar zelfs nog Pas2500, Oude machines, maar die dingen doen het nog steeds.
Als kennis en kunde strategisch van belang is, waarom zou je dan coulant zijn ?
Nikon zit al aardig in de buurt voor een veel goedkopere prijs.

Edit: het moest Canon zijn ipv Nikon.

[Reactie gewijzigd door Droxal op 22 juli 2024 16:07]

Vertel heeft Nikon een EUV machine nee,
Nikon verkoopt op het moment meer DUV machines omdat ASML ze niet kan leveren.
En De ASML machines zijn veel duurder als de Nikon machines en toch wil iedereen de ASML machine hebben. Dat is niet omdat ze elkaar lief vinden.
Precies, dat zijn gewoon concurrenten.
Als zij of iemand anders met dit soort werk op de markt komt, zoals wellicht Applied materials bijv.
dan wordt het een heel ander verhaal. Maar geloof me, nog voordat gebeurd, zullen er echt wel een aantal Gentlement agreements worden ondertekent. Gewoon wat handjeklap.
Want dat die te behalen winst wordt volgens mij gewoon vooraf en op top niveau bepaald.
Da's allemaal markt strategie, ALs jij dit, dan doe ik dat. totdat het fout gaat zoals bij China...
Geld is niet alles.
Als je kijkt naar de problemen die Intel heeft met productie, met efficiëntie, met eigenlijk alles in het productieproces; zelfs al heb je de aller- allerbeste machines, uiteindelijk is het de kennis & kunde die het 'm doet.

De combinatie van high-tech machines, high-tech ontwerp en high-tech productie is duidelijk een hele moeilijke. Intel is nu de eerste die deze machine krijgt. En ik durf te wedden dat TSMC de eerste gaat zijn die deze machines in productie gaat nemen, ondanks de latere levering.

In relatie tot China: Ik heb ergens gelezen dat ze al eens een DUV-machine helemaal uit elkaar hadden gehaald, om te reverse-engineeren, maar het ding nadien niet meer in elkaar gezet kregen. Zum beispiel.

[Reactie gewijzigd door Timoo.vanEsch op 22 juli 2024 16:07]

ASML maakt deze apparaten niet in haar eentje. Achter ASML zit een supply chain met meer dan 30.000 toeleveranciers. Dat opbouwen is het meeste werk.
Ik heb aan het apparaat (of delen van) wat in die container zit de afgelopen 6 jaar gewerkt. Echt wel een moment van trots, omdat we zeker twee jaar in lockdowns zaten en je dingen aan de keukentafel bedenkt. Ik ben niet zo bang voor een giga economie (China ?). De technische complexiteit is enorm en we zijn nog steeds bezig grenzen te verleggen.

[Reactie gewijzigd door loekf2 op 22 juli 2024 16:07]

Goed verwoordt Loek, Ik deel de mening helemaal.
Ik wil bijna zeggen "thank you for your service!"
Dan gaan we in de komende maanden zien of de keukentafel het juist heeft bedacht. Ben heel benieuwd naar de eerste 'echte' data en wat we daar nog uit moeten leren.
Ligt volkomen voor de hand dat op een van de SPIE conferenties wordt gemikt. De -1 voor jouw commentaar is volledig onterecht.

[Reactie gewijzigd door Idunno_WhyNoT op 22 juli 2024 16:07]

Die -1 boeit mij niet. Ik heb dagelijks discussies met onwetenden. Laat ze er maar zelf achterkomen als ze daar genoeg grijze massa voor hebben. Ik werk al bijna 8 jaar aan high-NA aan de optiek met Zeiss SMT en heb daar een grote technische verantwoordelijk voor. Ook stond ik aan de wieg van de EUV source.
Dan komen wij elkaar waarschijnlijk regelmatig tegen op kantoor. :)
Ik vermoed dat ik voor die tijd al wel het nodige heb gezien :).
Snap niet wat nu de reden is dat jij zoveel minnen krijgt...
Och, het laat mij koud. Als inmiddels "Director" bij bovengenoemde zaak op het gebied van EUV krijg ik vaker minnen te horen. Ik ga graag in discussie hierover. En als de conclusie is dat de min terecht is, hen ik weer een leermoment ;-).
“De Nederlandse chipmachinebouwer ASML heeft het eerste exemplaar van zijn extreme ultraviolet-lithografiesystemen geleverd aan chipfabrikant Intel.” -> dit klopt niet, deze EUV systemen zijn al afgelopen 5 jaar al geleverd.
Dit gaat over de volgende generatie, is dus weldegelijk nieuw (high na euv).
Dat was NXE. Dit is EXE.

[Reactie gewijzigd door Pe Nis op 22 juli 2024 16:07]

Intel heeft volgens mij pas 1 jaar EUV. EUV zelf was natuurlijk al eerder in gebruik genomen, zo te zien is de titel hierop nu ook aangepast:
De Nederlandse chipmachinebouwer ASML heeft het eerste exemplaar van zijn high-NA-extreme ultraviolet-lithografiesystemen geleverd aan chipfabrikant Intel.
Zoals al vermeld: ASML gebruikt de naam NXE voor EUV low-NA, en EXE voor EUV High-NA. De TWINSCAN die nu geleverd is geworden is volgens mij de EXE:5000 die ze in 2018 besteld hadden.
Intel announced at its Accelerated event in July that it plans to deploy the first High-NA technology to enable its roadmap of transistor innovations. Intel was the first to purchase the earlier TWINSCAN EXE:5000 system in 2018, and with the new purchase announced today, the collaboration continues the path for Intel’s production manufacturing with High-NA EUV beginning in 2025.
Ze hebben ook de opvolger besteld, de EXE:5200.
Intel has issued its first purchase order to ASML for the delivery of the industry’s first TWINSCAN EXE:5200 system – an extreme ultraviolet (EUV) high-volume production system with a high numerical aperture and more than 200 wafers per hour productivity – as part of the two companies’ long-term High-NA collaboration framework.
Zal ook nog wel even duren voordat men hier daadwerkelijk productie mee gaat draaien. Volgend jaar is vooral inregelen van de machine en pas in 2025 is de planning dat ze productie hiermee gaan draaien.

[Reactie gewijzigd door captain007 op 22 juli 2024 16:07]

Inderdaad, ik kan zeggen dat ze met hun EXE:5000 prototype in Veldhoven nog geen enkele belichting hebben gedaan, dit zal de komende weken wel gebeuren dat ze de eerste testen gaan doen.
Fout !
Twin scan is andere lagere resolutie en wordt in andere fabs gebouwd.
High Na is NXE5000 en die heeft wel degelijk belichtingen gedaan.
Dat concept werkt wel degelijk. Deze machine is ook naar Intel gegaan
En omdat Intel en Samsung ed derden bijdargen aan de totstandkoming hiervan is het dus ook begrijpelijk dat men straks vooraanstaat in deze technologie
NXE 5000 bestaat niet, er is alleen een EXE 5000.
NXE = EUV 0.33 NA
EXE = EUV 0.55 NA (High-NA)

TWINSCAN is de naam van het platform waarbij er twee wafer stages (beweegbare tafels waar de wafer in de machine op ligt) zijn. Een wafer stage kan dan worden gebruikt voor het in/uitladen van de wafer en het mappen/alignen van de wafer, terwijl de andere bezig is met het belichtingsproces. En dan kunnen ze swappen als ze klaar zijn, zodat de machine bijna constant wafers kan blijven belichten en je dus hogere output hebt. De DUV machines (XT en NXT lijnen) die gebruiken ditzelfde principe en vallen dus ook onder het TWINSCAN platform. Alleen intern wordt vaak TF = Twinscan Factory aangeduid als zijnde de fabriek waar de XT en NXT machines worden gebouwd. Ik denk dat daar je verwarring misschien vandaan komt.
Klopt, maar dat zijn geen High Na machines en een lagere resolutie en lagere throughput
“De Nederlandse chipmachinebouwer ASML heeft het eerste exemplaar van zijn extreme ultraviolet-lithografiesystemen
Je laat iets belangrijks weg uit je quote:
"high-NA-extreme ultraviolet"
Zo vet! Deze machine is zo bizar complex en het is geweldig dat hij onderweg is om daadwerkelijk productie te draaien!
Hoop alleen dat die kratten niet door PostNL afgeleverd gaat worden; kan iemand schatten hoeveel kratten er kwijt zullen zijn? Of uberhaupt opgehaald worden?

twee uitersten in kwaliteit in de NL economie.
Het lijkt me sterk dat de echt grote containers kwijt raken. Hier staat een artikel over één van de containers: https://news.vrr.aero/vrr...th-biggest-ever-container. Maar je weet maar nooit!
Ging meer over PostNL en Nl kwaliteit. Maar wel leuk artikel.
Ik vind deze reactie iets te leuk, en huil nu omdat ik PostNL echt heel graag zie sterven en vervangen worden vanwege hun "kwaliteit" en gedrag van bezorgers

[Reactie gewijzigd door jackyallstar op 22 juli 2024 16:07]

mWoeehaa, paar vliegtuigjes vol
Dit zijn hele gespecialiseerde transport processen. Het verpakkingsmateriaal zit bijvoorbeeld gewoon 'statiegeld' op.
Geconditioneerd transport met veel sensoren.
Ja temperatuur, luchtdruk, g-krachten, kantel maxen, etc.
Knap staaltje transport en logistiek.
Bv als een vliegruig te hard geland heeft is het onderdeel afkeur en gaat het de scrap bak in.

Op dit item kan niet meer gereageerd worden.