Door Willem de Moor

Redacteur

Canon drukt stempel op chipindustrie

Krijgt euv eindelijk concurrentie?

10-11-2023 • 06:00

55

Multipage-opmaak

Stempelen als euv-alternatief

Wie denkt aan chips maken, denkt natuurlijk aan bedrijven als Intel of TSMC. Maar zelfs als je op een verjaardag met schoonfamilie vraagt hoe die chips gemaakt worden, noemt bijna iedereen ASML, 'dat Nederlandse bedrijf' of een variant daarop. ASML is immers bijna een household name geworden en synoniem met chipproductie. Het bedrijf uit Veldhoven speelt zelfs zo'n cruciale rol bij het maken van bijna elke chip in je computer, laptop, telefoon of televisie dat de politiek zich bemoeit met aan wie de ASML-apparatuur wel en niet geleverd mag worden. Aan die bijna monopolistische situatie zou zomaar een eind kunnen komen, want een oude concurrent van ASML werkt aan een comeback.

Die concurrent is nooit helemaal weggeweest, want Canon, natuurlijk bekend van de camera's, maakt nog altijd een substantieel aantal van de lithografiemachines waarmee chips geproduceerd worden. Een voorbeeldje: in 2020 werden volgens Medium 413 lithografiemachines verkocht, waarvan 62 procent of 258 stuks van ASML afkomstig waren. De rest werd door Canon en Nikon geleverd, met een respectabel aantal van 122 stuks door Canon en 33 door Nikon. De crux zit in wat voor machines die drie lithografiefabrikanten dan leveren. ASML staat natuurlijk bekend om zijn euv-machines, voor de allermodernste chips. Een beetje chip wordt echter opgebouwd uit wel honderd laagjes en die hoeven lang niet allemaal met die dure machines gemaakt te worden. De minder kritieke laagjes kunnen met oudere lithografiemachines gemaakt worden, met een lagere resolutie en, niet onbelangrijk, tegen lagere kosten.

En dat zijn de machines die Canon momenteel levert. Het bedrijf maakt eigenlijk twee 'families' lithografiemachines: i-linesteppers en KrF-machines. Die KrF-machines, zo genaamd wegens de gebruikte kryptonfluoride-lasers, zijn geschikt voor chips tot pakweg de 90nm-node. Dat is natuurlijk een stuk minder sexy dan de sub-10nm-chips waar we op Tweakers over schrijven, maar ook die hebben niet-kritische lagen die met dergelijke oude machines gemaakt worden. De i-linemachines worden voor nog grotere featuresizes gebruikt, waarmee bijvoorbeeld de dikkere metaallagen op een chip gemaakt worden. Daarnaast worden de machines van Canon onder meer gebruikt voor de productie van cmos-sensors, die minder fijne features nodig hebben, of voor andere chips die kosteneffectief gemaakt moeten worden. Om een lang verhaal kort te maken: een 7nm 'euv'-chip wordt bij lange na niet alleen met euv-machines gemaakt en er worden nog heel veel chips op oude procedés geproduceerd.

Canon FPA-1200NZ2C nano-imprint-machine

Dat neemt niet weg dat ASML op het gebied van leading edge-chipproductie, met name op het gebied van euv, natuurlijk alleenheerser is. Canon en Nikon maken helemaal geen euv-machines, en alleen Nikon maakt een handjevol ArF(i)-machines, terwijl Canon die markt helemaal links laat liggen. Om een indicatie van de prijzen te hebben: eenvoudige steppers kosten pakweg 5 miljoen euro, terwijl euv-machines voor 150 miljoen tot 200 miljoen euro verkocht worden. Canon zou zich echter opmaken om weer in dat bijzonder lucratieve hoogste segment mee te gaan spelen. Dat kan leiden tot wat opschudding en gezonde concurrentie. Canons antwoord zou geen euv gebruiken, maar de patronen op wafers 'stempelen'.

Euv versus nil

Voordat we naar de Canon-methode kijken, zetten we het moderne lithografieprocedé kort uiteen. Een wafer wordt geprepareerd met een lichtgevoelige laag die 'resist' genoemd wordt. Die 'resist' wordt selectief uitgehard met een geschikte lichtbron. Voor ArF(i) is dat de eerder genoemde argonfluoridelaser en voor euv is dat een euv-lichtbron. De selectiviteit om de resist uit te harden wordt gerealiseerd door een masker tussen de lichtbron en de wafer te positioneren. Dat masker bevat een complex patroon van bolletjes en streepjes, die (in vele laagjes en vele bewerkingsstappen) de chipstructuren als transistors of bedrading moeten vormen. Het masker kan niet de hele wafer bestrijken, maar heeft beperkte afmetingen. De reticule, of het belichtingsvenster, kan maar een stukje van de wafer belichten. Na dat belichten en het resulterende uitharden van de resist kan de niet-verharde resist verwijderd worden, waarna metaallaagjes opgebracht worden, die op hun beurt weer selectief worden weggeëtst. Zo wordt laagje voor laagje een chip opgebouwd.

Principe van fotolithografie (beeld: Wikipedia.org)
Principe van fotolithografie. Beeld: Wikipedia.org

Nu kleven er een paar problemen aan dit proces. Ten eerste is er de complexiteit. Zeker een euv-systeem maakt gebruik van een enorme keten lasers, spiegels en lenzen om het euv-licht te produceren, richten en focussen. Ook zijn de benodigde maskers duur en complex om te maken; de patronen op een masker moeten berekend worden om te compenseren voor allerlei factoren als lichtinterferentie en gevoeligheid van de fotoresist. Bovendien zijn voor de kleinste features vaak verscheidene maskers per laag nodig, wat de kosten verder opdrijft. Dergelijke self-aligned double of zelfs quadruple patterning leidt tot extra kosten en complexiteit.

Double patterning-technieken

De belofte van nil

Hoe mooi zou het zijn als je chips kon maken zonder die dure euv-lasers met verdampte tinbolletjes, zonder complexe spiegels (als je die zo nog mag noemen) en zonder verschillende belichtingen om de gewenste structuren te maken? Dat is de belofte van nano-imprintlithografie, of nil, in een notendop. Je moet met nil de complexiteit en kosten kunnen reduceren. Het grootste voordeel van nil is dat er geen dure lichtbron met alle bijbehorende complexiteit nodig is.

Hoe werkt het?

Canon nano-imprint-technologie (beeld: SemiEngineering.com)
Canon-nano-imprinttechnologie. Beeld: SemiEngineering.com

De techniek maakt, net als duv en euv, nog steeds gebruik van een resist die met uv-licht wordt uitgehard, maar het patroon wordt direct in die resist geproduceerd, zonder projectie. Nil maakt namelijk gebruik van een soort masker dat als een stempel werkt; de lijnen en andere patronen worden in een transparant masker in drie dimensies geëtst. Dat patroon wordt als een aardappelstempel in de resist op de wafer gedrukt, waarna de resist wordt uitgehard. Dan wordt de stempel of het masker verwijderd en blijft het weg te etsen patroon op de wafer achter. Zo kun je in slechts één stap een compleet patroon op de wafer overbrengen, zonder dubbele of viervoudige belichtingen en zonder complexe berekeningen hoe het masker eruit zou moeten zien. Het masker wordt immers in die enkele stap een-op-een overgebracht in de resist. Dat klinkt fantastisch, maar als het zo eenvoudig was, zou de chipindustrie geen miljarden in euv hebben geïnvesteerd.

Voor- en nadelen van nil

De voordelen hebben we al voorbij zien komen. Met het risico op herhaling: het is een kostenbesparende, eenvoudigere techniek dan euv en duv. Niet alleen zijn de lenzen en dure lichtbronnen niet nodig, maar een enkele stap om een laag te produceren is efficiënt in zowel tijd als kosten. Met nil kan bovendien een stap in het proces achterwege blijven; er is geen post-exposure bake van de resist nodig, zoals bij fotolithografie, om die uit te laten harden. Canon heeft geen prijs opgegeven voor zijn FPA-1200NZ2C-nil-machine, die vanaf oktober te koop is, maar die zou ruim lager zijn dan de pakweg 200 miljoen voor een ASML Twinscan NXE:3600D. Ook zouden grotere maskers de kosten verder omlaag kunnen brengen.

Grotere maskers voor hogere throughput (beeld: Canon)
Grotere maskers voor hogere throughput. Beeld: Canon

Nadelen en obstakels

Over de weg naar euv hebben we op Tweakers al veelvuldig geschreven, en dat is een lange weg geweest. Al ruim voor de eeuwwisseling werd over euv nagedacht, met een consortium voor de ontwikkeling ervan dat in 1997 werd opgericht. Destijds was euv een van de mogelijke paden naar kleinere transistors, samen met andere technieken als e-beamlithografie en... nil. Sterker nog, al begin deze eeuw stonden euv en nil samen op de roadmap van ITRS, de International Technological Roadmap for Semiconductors. Toch heeft euv inmiddels eindelijk de weg naar de praktijk gevonden, maar is nil voor geavanceerde nodes nog niet van de grond gekomen, en dat terwijl het ook al decennia in ontwikkeling is. De techniek werd voor het eerst beschreven in de wetenschappelijke uitgave Science. Dat was nog een iets andere vorm van nil, waarbij het patroon in warm plastic op een wafer gedrukt werd. Een proces zonder lichtgevoelige resist en bijbehorende belichting dus. Er zijn dan ook verschillende varianten van nano-imprintlithografie, waarvan bovenstaande t-nil, of thermal nil, genoemd wordt.

T-NIL versus het latere UV-NIL (beeld: ResearchGate)
T-nil versus het latere uv-nil. Beeld: ResearchGate

Diverse start-ups, in dit geval soms spin-offs van onderzoeksgroepen van universiteiten waar de techniek ontwikkeld werd, bouwden latere iteraties van nil en probeerden die tot een commercieel succes te maken. Misschien ligt daar een deel van de reden waarom nil niet werd opgepikt als serieuze opvolger voor duv: start-ups met investeringen van slechts miljoenen konden niet op tegen de slagkracht van de halfgeleiderindustrie die zich achter euv schaarde, met bedrijven als Intel, AMD en diverse onderzoekslaboratoria, en in 1999 natuurlijk ASML. Een van de start-ups die nil ontwikkelden, Molecular Imprints, werd in 2014 door Canon overgenomen en is verantwoordelijk voor ontwikkeling van de nil-machines die Canon nu verkoopt.

Los van de industry backing, of het gebrek daaraan, zijn er ook technischere of fundamentelere problemen die opgelost moeten worden. De meeste daarvan zitten in het masker. Hoewel nano-imprintlithografie geen conventioneel, vergrote afbeelding van waferstructuren heeft, maakt de techniek gebruik van een masker. Het maken van dat masker brengt de nodige uitdagingen met zich mee. Ten eerste moeten de gewenste structuren een-op-een in het masker worden aangebracht. Lijntjes met een onderlinge afstand van bijvoorbeeld 30nm op de wafer moeten ook met 30nm onderlinge afstand op het masker aangebracht worden. Met traditionele fotolithografie heb je nog een verkleiningsfactor van vier, die je in staat stelt de structuren op je masker wat groter te maken. Het nadeel dat fotolithografiemaskers niet 'wysiwyg' zijn, blijft natuurlijk wel bestaan.

Masker-defecten (beeld: Semantic Scholar)
Maskerdefecten. Beeld: Semantic Scholar

Nog meer maskerperikelen zitten in de inspectie van het masker, zowel na productie als tijdens gebruik. Omdat alle features zo klein zijn, is het lastig en dus duur om het masker te controleren op defecten. Dat wordt nog erger, doordat het masker ook tijdens gebruik defecten of onzuiverheden kan oplopen. Het zou natuurlijk een nachtmerrie zijn om een hele wafer te bedrukken met een 'stempel' die bij de eerste paar keer gebruik beschadigd is geraakt. De resist kan beschadigd raken bij het verwijderen van het masker en ook bij het uitlijnen van opeenvolgende lagen is enorme precisie nodig en liggen afwijkingen op de loer. Voor de maskerintegriteit worden soms kloons van maskers gemaakt die maar een paar honderd of duizend keer gebruikt worden, zodat defecten niet accumuleren. En met indicators op de wafers is het inmiddels gelukt om de uitlijning tot op 1nm nauwkeurig te krijgen. Om het masker schoon te houden ten slotte, wordt steeds 'schoner' gewerkt, zodat verontreinigingen buiten gehouden worden, bijvoorbeeld met een luchtstroom om de wafer/stempel heen.

Canons implementatie

Canon noemt zijn nil-procedé J-FIL, kort voor Jet and Flash Imprint Lithography. Daarbij maakt Canon gebruik van zijn expertise op het gebied van inktjettechnologie om kleine druppeltjes resist op de wafer aan te brengen die de patronen van de gewenste structuren volgen. Dat is de Jet-component, waarna het masker op de wafer gedrukt wordt en de vloeibare resist tussen de structuren van het masker wordt gedrukt. Vervolgens wordt de resist met een uv-belichtingsstap uitgehard en kan het masker verwijderd worden. Dit alles gebeurt op kamertemperatuur en volgens Canon is er 99 procent minder resist nodig dan wanneer dat erop gespind wordt. Zo spaart nil volgens het bedrijf niet alleen geld, maar ook het milieu.

Canon J-FIL-proces

De nil-machine, of liever de J-FIL-machine die Canon vanaf dit najaar zegt te leveren, is bij wijze van test al enkele jaren in gebruik door Toshiba Memory. Daar zou de FPA-1200NZ2C gebruikt worden om te zien of nil geschikt is voor geheugenproductie. Geheugen leent zich goed voor dit soort experimentele technieken, omdat het minder foutgevoelig is en een vrij eenvoudige opbouw heeft. Volgens Canon kan de machine lijntjes 'printen' van 14nm breed, wat weer metaallagen met een onderlinge afstand van 26nm oplevert. Dat zou dan weer overeenkomen met een 5nm-procedé, aangezien daadwerkelijke afmetingen allang niets meer met nodenamen te maken hebben.

De 5nm-node is nu nog het domein van euv-lithografie, maar met de J-FIL-machine zou Canon op termijn een alternatief kunnen bieden in bepaalde situaties. De nano-imprintlithografiemachines zouden samen met conventionele lithografiemachines, zoals duv-machines, gebruikt kunnen worden, omdat de verwerkingstappen en andere processen uitwisselbaar zijn. Daarmee zegt Canon zich vooral op de nandproductie te richten, en dan met name op de kritieke lagen daarvan. Canon zou niet alleen bij Toshiba (of Kioxia) met nil voor nandproductie zorgen, maar ook bij SK Hynix zou een machine staan. Die zou pas rond 2025 voor daadwerkelijke massaproductie gebruikt gaan worden.

Masker-resolutie NIL

Ook andere nandfabrikanten zouden interesse hebben, waarbij met name Chinese bedrijven interessant kunnen zijn. Die hebben immers geen toegang tot ASML's geavanceerde machines en zouden voor kritieke lagen in nil een alternatief kunnen vinden. Zover is het nog lang niet, want Toshiba danwel Kioxia is na ruim zeven jaar ook nog niet overgegaan op nano-imprintlithografie. Voor de komende jaren zou het echter een interessant en kosteneffectief alternatief en dito aanvulling kunnen zijn op traditionele fotolithografie. En om mee te gaan met Moore's law, zou Canon zelfs mogelijkheden zien om de techniek geschikt te krijgen voor nog kleinere structuren, tot aan de 2nm-node.

Reacties (55)

55
55
34
7
0
17
Wijzig sortering
Hoewel Canon's technologie zeker waardevol kan zijn voor bepaalde toepassingen, zie ik het niet snel als geschikt voor de productie van complexe chips. Dit komt vooral door een cruciaal verschil in foutcorrectiemogelijkheden tussen EUV-lithografie, zoals gebruikt bij ASML, en Canon's nano-imprintlithografie.

Bij EUV-lithografie, waar een fotoresist laag op de wafer wordt aangebracht en hard wordt bij blootstelling aan licht, bestaat er een mogelijkheid tot correctie. Als na de belichting maar vóór het etsen een fout wordt gedetecteerd, kan de wafer opnieuw worden belicht om het proces te corrigeren. Dit is van cruciaal belang is bij de productie van complexe chips, waarbij fouten niet altijd te vermijden zijn.

In tegenstelling tot EUV-lithografie, bij Canon's J-FIL-proces, wordt een fout pas duidelijk nadat de resistlaag is uitgehard. Op dit punt is de resistlaag vastgezet en kan deze niet opnieuw worden aangepast. Dit betekent dat er geen mogelijkheid is tot een 'redo' bij een fout. Gezien de vele lagen van een chip, variërend van 30 tot meer dan 100, zou een fout in een van de latere stadia van Canon's proces kunnen betekenen dat de gehele chip onbruikbaar wordt, wat leidt tot aanzienlijk tijd- en materiaalverlies.

Hoewel Canon's technologie zeker potentie heeft, vooral in situaties waar minder complexe chips vereist zijn, lijkt EUV-lithografie vooralsnog beter geschikt voor de huidige en toekomstige generaties van complexe chips. Het blijft boeiend om de ontwikkelingen in deze sector te volgen, en het zal interessant zijn om te zien of Canon's technologie in de toekomst aangepast kan worden voor meer geavanceerde toepassingen. Voor nu blijft het een waardevolle aanvulling op de bestaande technologieën in de lithografie.

[Reactie gewijzigd door bonkersone op 22 juli 2024 15:38]

Ik denk dat je hier zeker een punt hebt. Echter vraag ik me nog wel af in hoeverre dit echt een probleem vormt, en in hoeverre dit niet vergelijkbaar is met fotolithografie.

Ten eerste vraag ik me af of het uithardingsproces echt geen redo meer toestaat. Toegegeven, een simpele resist strip is hier niet mogelijk. Je hebt hier een agressievere methode zoals 'ashing' nodig waarbij je de resist gewoonweg verkoolt. Uiteindelijk moet na bijvoorbeeld een etsstap alsnog je resist verwijderd worden. Als dit mogelijk is, wat weerhoudt je dan om dit voor het etsen te doen?

Ten tweede doel jij hier vooral op positive-tone fotoresists in de fotolithografie. Hierbij verwijder je het belichte gedeelte tijdens het ontwikkelen. Echter worden er ook negative-tone resists gebruikt (je verwijdert het niet-belichte gedeelte), waarbij hetzelfde geldt als voor NIL. Sterker nog, hier is veel onderzoek naar in het geval van metal oxide resists voor EUV (zie google).

Ik denk dat deze correctiemogelijkheid niet zo'n groot pluspunt is als jij schetst, slechts een kleine.
Ik denk dat je een punt hebt, het voordeel is wel echt kleiner. Al is 'Ashing' is een relatief agressief proces en kan potentieel de onderliggende of omliggende materialen aantasten. Het zou dus niet altijd een haalbare optie kunnen zijn, vooral niet bij fijnere of complexere patronen. Daarom is de mogelijkheid om fouten te corrigeren vóór verdere verwerkingsstappen is dus van belang, zelfs bij negatieve-tone resists (al is het voordeel kleiner).

[Reactie gewijzigd door bonkersone op 22 juli 2024 15:38]

Het proces van het weghalen van alle uitgeharde resist is een standaard stap bij elke laag van een wafer. Dat gebeurt bij 232 laags nand dus minimaal 232 keer, waarom zou het een probleem zijn om dat een keer extra te doen?
Ja het is super praktisch dat je fouten kan herstellen, hoewel je bij foto litho natuurlijk alleen onbelichte stukken extra kan belichten, stukken die al belicht en dus uitgehard zijn kan je niet ongedaan maken lijkt mij.
Maar nee het feit dat NIL dat niet kan betekend niet (zoals je in je 1e post zegt) dat er geen mogelijkheid is tot een redo. Het is alleen iets meer werk.

edit reactie op onder: alles is mogelijk maar ik heb nog geen reden gehoord om aan te nemen dan het ook waarschijnlijk is.

[Reactie gewijzigd door Rrob op 22 juli 2024 15:38]

Het gebeurt dan wel 232 keer, maar ik neem aan slechts 1 keer per laag. Mogelijk als je het 2 keer op dezelfde laag doet, krijg je problemen die je anders niet zou hebben.
Wat ik uit dit artikel "Nanoimprint, finally coming to the fore❓" haal is dat men zich vooralsnog op simpelere geheugen productie gaat richten.

"Canon's latest nanoimprint device has good parameters, embedding accuracy of 2.4nm/3.2nm, can expose more than 100 wafers per hour, nano imprint technology has reached the level of 3D NAND mass production and requirements."

De meer geavanceerde/ingewikkeldere chip productie is dus voorlopig beter af met de EUV oplossing van ASML, niemand weet wat de door ontwikkeling van de Nanoimprint technologie gaat bieden.

🤔Wat ik me wel afvraag is of deze machines van Canon massaal aan China geleverd kan/gaan worden❓ En als ze massaal geleverd gaan worden of China er ook geavanceerde chips mee probeert te gaat produceren naast geheugen productie.
Het punt dat EUV gebruik maakt van dure maskers valt volgens mij totaal in het niet in vergelijking met NIL maskers. Photolitho maskers zijn doorgaans 4 keer uitvergroot; de print wordt door de lens/spiegels dan op ware grote geprojecteerd. Hierdoor zijn ze wat makkelijker te produceren (o.a.).

In dit artikel lees ik dat de NIL maskers al op ware grote gemaakt zijn en bovendien twee keer zo breed en hoog zijn voor hogere throughput (ik neem aan dat je dan bijv. vier chips in één keer stempelt). Ik zie niet in hoe dat niet ten minste even duur zou zijn als bijv. een EUV reflectiemasker.

Argument van die complexe berekeningen om het masker te optimaliseren bij photolitho gaat bij mij ook niet op, want je zult bij een NIL masker even goed allerlei sterkteberekeningen moeten doen zodat de kleinste elementen niet kapot gaan tijdens stempelen (want ipv multiple patterning kun je die kleinste elementen in één keer op je wafer krijgen wordt geclaimed, oftewel hele dunne lijntjes), of berekeningen betreffende lichtdoorlating van het masker of zoiets.
Voor EUV wordt een groot gedeelte van de kosten al gemaakt voor het blanco masker, ofwel een mask blank. Je hebt een ultravlakke plak kwarts nodig, die je enorm secuur met een reflecterende multilaag moet bedekken, waarbij een paar procent in de dikte van die multilaag al zorgt voor een masker dat niet werkt. Vervuilingsdeeltjes onder de oppervlakte kunnen ook nog eens leiden tot lokale fase-defecten die niet makkelijk detecteerbaar zijn, maar wel printen op de wafer. Nadat je dat defectloos hebt gedaan, moet je een absorberende laag aanbrengen, die gedeeltelijk kan worden weggeëtst om een patroon aan te brengen.

Dat gezegd hebbende heb je natuurlijik wel een punt. De kosten van zo'n stempel mogen niet veel hoger zijn dan die van een EUV-masker. Zelf denk ik niet dat dat een show-stopper gaat zijn, zeker aangezien Canon een manier heeft gevonden om die stempels redelijk eenvoudig te reproduceren zonder elke keer het patroon aan te brengen met e-beam lithografie.

Over die complexe berekeningen, ik denk dat je hier twee dingen door elkaar haalt. Source Mask Optimization/Optical Proximity Correction en Mask Design Rules. MRC (Manufacturing Rule Check) heeft elk masker, en die komt bovenop de eerste twee stappen. Een MRC is redelijk simpel om te doen, omdat de regels meestal redelijk simpel zijn. SMO/OPC zijn echt complexe stappen die ook echt complex zijn.

edit: uitleg MRC

[Reactie gewijzigd door Blokmeister op 22 juli 2024 15:38]

NIL zal geen ultravlakke kwartsplaat nodig hebben als basis voor de maskers zoals bij EUV, maar de meerdere lagen van coatings etc. lijken me wel overeenkomstig met wat je nodig hebt voor een EUV masker, althans afespitst op stempelen.

Je hebt denk ik wel gelijk over de complexe berekeningen. Is toch anders voor NIL dan voor DUV/EUV. Maar goed, SMO/OPC kwam ook pas later opdagen toen in ieder geval DUV al goed onderweg was de markt van Canon over te nemen geloof ik; wie weet wat voor dure/complexe trucjes er nog nodig gaan zijn of waar fabrikanten mee komen voor NIL mask optimization :)
Naja, 120 multilagen of een paar coatings is wel een verschil lijkt me. Ik denk dat NIL op dit vlak beter lijkt qua kosten.

Nog een toevoeging. Ik heb het idee dat de kosten vooral schalen met de grootte van het masker. Als het je 1:1 doet, dan is je masker 16 keer kleiner dan bij fotolithografie. Je zegt al wel dat je echte stempels waarschijnlijk meerdere veldjes naast elkaar zijn, maar wellicht zijn die simpelweg te dupliceren door deze ook te stempelen met een heilig masterpatroon. Dat zou de kosten al enorm drukken.

Iets wat ik nog wel wil benoemen is de inspectie. Inspectie is ook een grote kostenpost bij maskermakers. Een EUV-masker is een geleider en kan je dus makkelijk met een elektronenmicroscoop inspecteren voor defecten. Misschien heb ik het hier mis, maar het lijkt me dat zo'n stempel niet geleidt en dus niet geïnspecteerd kan worden met een SEM. Dan ben je aangewezen op veel tragere contactmicroscopie zoals AFM. Dat zou het veel duurder maken, tenzij je vooraf kan aantonen dat je fabricageproces van maskers erg betrouwbaar is, waardoor inspectie overbodig is.
Interessant artikel. Mooi dat er een alternatief voor de extreme complexe lamp die ASMLbouwt. Maar wat ik mij wel afvraag, en wat in het artikel niet benoemd wordt is de productie snelheid. ASML steppers kunnen wafers behoorlijk snel belichten en vervolgens steppen naar het volgende venster. Hoe snel kan dit met zo'n stempel, gegeven dat het een mechanisch proces is waar je fysiek contact maakt met het substraat? En hoe lang gaat zo'n stempel mee voordat het defecten begint te vertonen?
Hoeveel lagen kun je stempelen? Want met elke laag wordt het oppervlak onregelmatiger vanwege de structuren die al zijn aangebracht. Compenseer je dat door je (vervolg)stempel daarop aan te passen?
Allemaal goede vragen wat mij betreft. :)

"Hoeveel lagen kun je stempelen? Want met elke laag wordt het oppervlak onregelmatiger vanwege de structuren die al zijn aangebracht. Compenseer je dat door je (vervolg)stempel daarop aan te passen?"
Niet dat ik meteen expert ben op dit gebied, maar na elke laag-opbouwstap volgt er een 'planarization' stap om de wafer weer vlak te krijgen, dus dat zou in principe geen rol moeten spelen. En ik gok dat dat niet 100% ideaal is, dus dat je het niet perfect glad krijgt - maar ik gok ook dat dit bij traditionele fotolithografie ook een factor in de productie is.
Precies wat je zegt inderdaad. De halfgeleiderindustrie is de laatste jaren/decennia steeds meer naar vlakke lagen gegaan voor de meeste high-end nodes en toepassingen.

Aan de andere kant heb je 3D NAND voor geheugen waarbij je juist enorme hoogteverschillen hebt. Het lijkt mij dan ook dat dit typisch een toepassing is waarbij NIL het nooit kan overnemen van traditionele fotolithografie.
Die hoogteverschillen zijn toch gewoon de verschillende lagen? Na elke metaal laag wordt de handel iig gepolijst om meer netjes vlak te zijn, en hoewel de ene methode vast gevoeliger is dan de ander voor hoogteverschillen, kan lithografie lijkt mij ook niet goed het doen met flinke hoogteverschillen in één laag: Dan is het niet meer goed scherpgesteld.
Heb je het nu over het stukje van 3D NAND? Ik moet zeggen dat ik geen expert ben op dat vlak, dus als je ergens iets anders leest, dan klopt dat waarschijnlijk. Dat gezegd hebbende heb je natuurlijk gelijk. Hoogteverschillen zijn lastig, zowel voor fotolithografie als voor NIL. Echter heb je met fotolithografie wel de mogelijkheid om je patronen bijvoorbeeld te optimaliseren voor een grote focusdiepte. Hoever je dat kan doen met NIL is mij nog niet helemaal duidelijk, maar het lijkt mij dat patronen met een enorme aspect ratio (waarbij de hoogte van je patroon veel groter is dan je breedte) enorm lastig zijn voor NIL. Je krijgt bijvoorbeeld dan te maken met luchtbelletjes die verstopt raken, waardoor je patroon niet goed kan vormen. Ook moet je stempel robuust genoeg zijn om überhaupt dat soort patronen te stempelen zonder af te breken of te vervormen.
Nope, lithografie kan redelijk goed tegen hoogteverschillen, dit omdat er bijzonder veel meetpunten op een wafer zitten.
Deze worden elke keer dat een wafer de machine in gaat gemeten, waarna de chuck met 6dof de wafer kan positioneren tijdens de exposure. Daarnaast kunnen de lens- of spiegelelementen individueel gepositioneerd worden om het beeld in focus te krijgen.
Zonder teveel op de technologie in te gaan, zijn er natuurlijk corrigeerbare dingen, zoals een globaal profiel over je wafer. Echter heb je bij 3D-NAND hoogteverschillen van honderden nanometers op de micrometerschaal. Deze zijn niet simpelweg te corrigeren door je wafer anders te positioneren.
De steppers van ASML meten elke laag opnieuw wat de vervorming en translatie van de wafer is. Tijdens het belichten van de wafer wordt de wafer continue in hoogte, rotatie e.d. aangepast om elk field zo goed mogelijk te krijgen.
Dit omdat in elke processtap er een kans op fouten is, en zeker op de 2 tot 5nm nodes het extreem belangrijk is dat je de lagen goed op elkaar stapelt.
Hoe snel kan dit met zo'n stempel, gegeven dat het een mechanisch proces is waar je fysiek contact maakt met het substraat?
En hoe lang gaat zo'n stempel mee voordat het defecten begint te vertonen?

[Reactie gewijzigd door DvanRaai89 op 22 juli 2024 15:38]

Ik meen in NRC gelezen te hebben dat zo'n stempel in theorie wel sneller zou kunnen zijn.
Het fijne aan photo lithography is dat je pre-alignment doet voor je hele wafer en deze vervolgens gedurende het hele belichtingsproces kunt gebruiken. De belichting introduceert immers geen fysieke krachten op de wafer; zo heb je geen verschuivingen en dus dezelfde alignment.

Bij NIL maak je fysiek contact met de wafer voor iedere imprint. Als je waferhouder dan ook maar een beetje drijft t.o.v. maskerhouder (en dan bedoel ik ook de hele bende actuator en sensoren en balansmassas enz) dan introduceer je al een mogelijke verschuiving, dus zul je opnieuw moeten alignen. (alhoewel je bij een deel van DUV ook met immersielithografie werkt, waarbij water op de wafer zit en waar je ook enigzins fysieke interactie ziet (met een vloeibaar medium)).

ASML is niet 30 jaar lang alleen maar aan het investeren geweest in belichtingstechnieken. Het hele proces van pre-alignment, coarse en fine alignment, het positioneren van de wafers t.o.v. de lens/spiegels is evengoed verantwoordelijk voor de huidige staat van de techniek.

EDIT: mijn punt is dat het telkens opnieuw alignen extra tijd zal kosten.

[Reactie gewijzigd door Crystalyzer op 22 juli 2024 15:38]

Wat betreft de fysieke krachten sla je de plank een beetje mis helaas. Wanneer je met een aantal honderden milli joules per cm2 de wafer belicht wordt hij lokaal warm, waarbij hij uitzet

Edit: ordegrootte

[Reactie gewijzigd door Ron op 22 juli 2024 15:38]

Helaas zit je er orde groottes naast met de energie. Een typische dosis zit ergens tussen de 20 mJ/cm^2 en 100 mJ/cm^2. Dus echt een factor honderdduizend kleiner. Neemt niet weg dat je er wel rekening mee moet houden.

Wat Crystalyzer hier bedoelt is het twinscan-principe. Dat je tijdens het belichten niet opnieuw hoeft uit te lijnen. Bij NIL ben je aan het uitlijnen tijdens het stempelen omdat je door de stempel heen kan kijken.
Aangezien Toshiba en Canon japanse bedrijven zijn vraag ik me af of China baat heeft bij de opzet van die nil techniek. Ik schat dat als dit een echte concurent word voor de hedendaagse top of the bill chip producrtie dat de ban die nu op euv ligt er ook rap komt voor nil.
Dit zou ik ook denken, vroeg ik me ook af toen ik dat las in het artikel. Volgens mij heeft Japan al andere Japanse producenten verboden bepaalde technologie aan China te leveren.
Heeft NIL dan US technology? Want bij EUV is dat het probleem, de EUV laser komt van Cymer US en daarom valt het onder de US export restrictions.
Molecular Imprints was een Amerikaanse bedrijf, dus ja. Echter, is "Amerikaanse technologie" niet de reden dat EUV-machines niet naar China mogen, maar een argument dat gebruikt wordt. De V.S. wil China chipfabricage onthouden ongedacht waar de technologie vandaan komt. Het is een onderhandeling tussen mogenheden.

Nederland staat wat dat betreft zwakker dan Japan, wij zijn een kleine mogendheid die via de NAVO afhankelijk millitair is van de V.S. en ook economisch zijn we waarschijnlijk afhankelijk van vrije toegang tot de Amerikaanse markt. Japan is militair zelfstandig en een economische supermacht. Waar wij in Nederland voornamelijk EUV-machines hebben die alleen wij kunnen maken, heeft Japan een heel arsenaal aan goederen die ingewikkeld te maken zijn. De onderhandelingspositie van Japan en Nederland is dus totaal anders.

Het zal interessant zijn om te zien hoe dit zich uit gaat spelen: De V.S. gaat gegarandeerd proberen te voorkomen dat China dit kan kopen.
Ik heb het idee dat Japan zich wel bedreigd voelt door China, en net als de Amerikanen ook geen gevoelige technologie naar China wil sturen.
Sowieso mogen Japanners en Chinezen elkaar niet, daar zit oud zeer in. Japan is onderdeel van de ring van democratische landen om China heen en heeft hele hechte banden met Taiwan. Tegelijkertijd kunnen ze niet zonder elkaar. Voor Japan biedt China goedkope arbeid, maar belangrijker, Japan is arm aan grondstoffen en de Japanse economische supermacht kan niet zonder grondstoffen. Voor China is de Japanse kennis van fundamentele elektronica essentieel om zijn eigen elektronica-industrie te laten functioneren.

Zoals ik het zie gaat het hier niet om veiligheid, maar om economische dominante. In wapens zitten geen 5nm-chips. Dit gaat om de kwestie dat Nvidia €30.000 voor een Hopper-GPU kan vragen en Intel €12000 voor een Xeon. Men is bang voor Chinese concurrentie. Mijn inziens heeft Japan knappe koppen genoeg om dit te beseffen: Enerzijds gaat de verkoop van lithografiemachines om vele miljarden, anderzijds is het op de troon houden van Amerikaanse techgiganten niet in het Japanse belang.
Hmm het gaat niet om veiligheid: maar waarom dan juist deze technologie alleen beperken, en niet de vele andere dingen waar China heel veel geld mee verdient ten koste van andere landen, zoals zonnecellen, accu's, auto's, en eigenlijk alles wat China produceert? Denk je niet dat militair gebruik van kunstmatige intelligentie hier een rol speelt naast economie, dat Amerika en China's rivalen niet willen dat China intelligente wapens kan ontwikkelen (maar zijzelf eventueel wel)?
Nee, dat kan beslist de reden niet zijn; om militair iets te kunnen bereiken zijn extreem harde sancties nodig zoals bij Rusland. Nvidia GPU's gemaakt die precies aan de regeltjes voldoen en voor militair gebruik kan China voldoende processoren en GPU's via derde lanen importeren. Dit gaat om technologische suprematie, het voorkomen dat er een Chinese Intel opstaat.

De andere voorbeelden zijn technologie die China al heeft.
Het geld verdienen is niet het probleem, afhankelijkheid van China wel. De zorg is dat China zelf halfgeleiders kan produceren en vervolgens dan niets meer hoeft te exporteren. China heeft en levert namelijk het overgrote deel van REMs, waar de rest van de wereld afhankelijk van is.
Je bedoelt zeker importeren, niet exporteren?

Wat zijn REM's?
Rare earth metals. En nee, exporteren. Ze exporteren een bak aan grondstoffen die wij vervolgens gebruiken voor het produceren van halfgeleiders (en batterijen, en dit, en dat, en zus, en zo).
A, ja, dat is zeker een punt.
Japan is nou juist vrij afhankelijk van de VS. Het grootste deel van export gaat naar de EU en VS. Op het gebied van defensie zijn ze vrij zwak in vergelijking met de Chinese en Russische buren. Indien er een conflict uitbreekt moeten ze vrijwel alle belangrijke grondstoffen inclusief olie over zee aanvoeren.

De enige Marine en Luchtmacht die groot genoeg is om die benodigde aanvoer over zee van enige luchtdekking voor te voorzien is de VS.

De basis van hun high tech technologie komt net zoals in Europa grotendeels uit de VS en die zullen dus ook Canon cq Japan onder druk zetten om deze machines niet aan China te verkopen.

Maar de grootste reden waarom Japan zelf dit ook niet zal willen is dat ze de grote concurrent niet verder in het zadel willen helpen.
Wat Japan veel exporteert zijn een hoop dingen die niemand anders kan maken. Waar wij trots zijn op onze EUV heeft Japan een hele hoop waren die ze exclusief maken. Om die reden is de export minder kwetsbaar.

Ik ben het met je eens dat Japan geen concurrent in het zadel zal willen hebben, maar bedenk wel dat China nu al draait op Japanse robotica, meetinstrumenten, optica en ga zo verder. Chipmachines passen in dat rijtje.

Japan heeft natuurlijk een eigen halfgeleideridustrie, maar met zijn eigen specialiteit: Analoge chips, MEMS, super-ruisarme transistoren, hoogfrequenttransistoren zijn zaken die je in Japan koopt. Processoren en GPU's zijn niet een typisch Japans product. Geheugen ooit wel, maar dat lijkt vergaan.
Die ban komt vanuit de US, omdat ASML ook Amerikaanse technologie gebruikt.
Mogelijk hebben Japanse bedrijven daar (nog) geen last van.
Die Japanse bedrijven mogen ook niet aan China leveren.

https://pamirllc.com/blog...-of-chip-making-equipment
Misschien interessant om dan al een stap verder te denken. Als NIL wel verscheept mag worden en EUV niet, dan is NIL dus oneerlijke concurrentie. Dat zal voor Nederland weer aanleiding zijn om opnieuw te kijken naar de exportregels en deze wellicht te schrappen. Natuurlijk is dit een beetje turen in je glazen bol, wat weer lastig is aangezien glas niet transparant is voor EUV.
Als de VS het niet wil zal het niet gebeuren aangezien een deel van de ASML machines in de VS gemaakt wordt, en de VS dan de export van deze onderdelen naar ASML zal verbieden.
Ik denk dat dat niet zo zwart/wit ligt. Je leest ook wel hier en daar dat de Nederlandse regering er ook mee in moet stemmen. Als er oneerlijke concurrentie is, zal er zeker gepoogd worden om te heronderhandelen.
Zal nooit gebeuren, Intel en Micron zijn dan het eerste slachtoffer.
Concurrentie zal ASML echt niet snel krijgen. Dit nieuws van de afgelopen weken lijkt een beetje "clickbait light" te zijn.

Zie de volgende bronnen:

ASML Solid Monopoly in Lithography

&

Deep Dive into Canon's Nanoprint Lithography
Je kan ook de beurskoersen van ASML en Canon bekijken. Hier zie je dat de aankondiging van NIL maar een erg klein effect heeft op beide koersen. Dus de mensen die dit nieuws tot in de treure analyseren, ook niet heel erg onder de indruk zijn.
Mogelijk interessante video van Asionometry:
A Deep Dive Into Canon’s Nanoimprint Lithography

[Reactie gewijzigd door DvanRaai89 op 22 juli 2024 15:38]

Een aspect dat ik hier mis bij de voor of nadelen, is de correctiemogelijkheid. Met een fotolithografiescanner heb je de mogelijkheid om erg veel dingen te corrigeren. Je kan bijvoorbeeld on-the-fly aanpassingen doen aan focus, dosis of tal van andere aspecten om onder andere je contrast of plaatsing te corrigeren. Het lijkt mij dat NIL die mogelijkheid veel minder heeft. Zo kan ik me voorstellen dat je met fotolithografie nog kan corrigeren voor een willekeurige stap in je proces, terwijl je met NIL simpelweg je hele wafer kan scrappen.
Of Canon hiermee succesvol is zal liggen aan
Yield, ofwel, hoeveel chips haalt men uit een wafer
Productiesnelheid, hoeveel wafers per uur kan de machine produceren
Kosten, wat kost de productiestraat, en daarmee, wat zijn dan, samen met de voorgaande 2 de productiekosten van de chips.

Je kan een prachtig 5 of 3 nm Process hebben, maar als de Yield en productiesnelheid niet voldoende zijn om de kosten laag te krijgen, dan heb je iets moois dat geen marktwaarde heeft
Persoonlijk ken ik Canon niet alleen van hun camera's maar vooral ook van b.v. kopieerapparaten en printers en dit dan in dezelfde lijn ligt als iets als lithografie. Daarom dat het mij niet verbaasd dat Canon evenzogoed een belangrijke speler is gebleven binnen de chipproductie.
Oei, dit is pijnlijk; ‘Medium’ wordt al bron genoemd, maar dat is een blog platform waar iedereen vrij op kan posten. Het is een beetje hetzelfde als zeggen dat iets waar is want ik heb het op Facebook gelezen…
Nou, dat valt in dit geval wel mee hoor. In het artikel op Medium worden ook netjes bronnen genoemd. Verder zijn de genoemde cijfers ook niet ineens heel raar. Ze liggen redelijk binnen de verwachtingen. Kijk maar eens naar wat ASML, Canon en Nikon publiceren in hun jaarcijfers. Als het echt bijzonder nieuws was, heb je wellicht gelijk, maar als je gewoon de bekende weg rapporteert, is dit niet zo raar.
Daar gaat het niet om. ‘Op Facebook’ staat ook heel veel wat wel waar is, maar om dát nou als bron te benoemen getuigt niet bepaald van gedegen onderzoeksjournalistiek. Ik knapte er in elk geval flink van op af.

Op dit item kan niet meer gereageerd worden.